Viewing task values in Simvision
Hello,I'm working on creating a robust simulation environment for a project and I'm not super familiar with Simvision/Incisive. In modelsim you can view the values inside task calls the same way you...
View ArticleNeed Help to Know *W,WKWTLK "Waiting for a Exclusive lock" issue
Hi, I'm using NCSIM v15.20.030 version. Have you seen the below issue while running regression with NCSIM; If so please share your feedback. irun: *W,WKWTLK: Waiting for a Exclusive lock on file...
View ArticleHow to print value of a systemverilog class instance when a breakpoint is hit?
I would like to print "obj" or "obj.m_name" every time the following UVM code line is hit. What should I pass to the -exec switch to make this happen?stop -create -line 308 -file...
View Articleirun with illegal localparam in list of parameters [12.2(IEEE-2001)].
Hi Cadence,I use irun(64): 15.20-s029 to run some SV files I fetched from the internet.I am getting this error but it seems those are correct syntax in Verilog 2001 and later.How do I fix those?Thank...
View ArticleWhile debugging systemverilog@incisive, how do I print "this"?
xcelium> run -step../uvm-1.2/src/base/uvm_objection.svh:829 comp.raised(this, source_obj, description, count);xcelium> value thisxmsim: *E,PNOOBJ: Path element could not be found:...
View ArticleXceliumMain_18.09 config error after install??
Hello all... I am the sysadmin at my place of work, i do not know how these tools work, i just install them for our engineers. I just tried to install via iscape 4.2, XceliumMain_18.09. The install...
View ArticleHow to use the "set_refinement_resilience" settings after design files are...
I read the article of John Brennan "A Coverage Time-Saving Tip" of 20 Nov 2015 in the Blog section and hoped to solve the problem that after design updates I do not have to fully evaluate set up the...
View ArticleHow to reinvoke a simulation by changing some testbench code in Simvision?
In simvision how to reinvoke a simulation when some testbench code is changed such that the changes are included after the reinvoke ?Thanks in advance!
View ArticleXcelium Functional Coverage
Is there a way to generate coverage reports, not in ucd or any other format. I have written basic covergroup and passed arguments[-covoverwrite -cov_cgsample -cov_debuglog -coverage u] to the xrun...
View ArticleNeed help: *E,ILLPDL Error while compiling RTL design file which has typedef...
Hi, I've a below typedef definition; typedef enum {SP_FS='h00, LP_ID_END='h37, LP_RESERVED='h3F} DT_DATA_TYPE; The above typedef has been used in our RTL design files as below;...
View ArticleIMC exclude conditionally
I have a group of covergroups which may or may not hit during a regression run. I want a refinement file which looks at these covergroups together and excludes the ones which don't get hit. Is it...
View Articlencvlog: *E,DLCIRD
ncvlog: *E,DLCIRDwhat was the meaning of this error and help me to resolve this issue.
View ArticleCombining coverpoints
covergroup cg @(posedge clk); a: coverpoint v_a {..} b: coverpoint v_b {..} c:coverpoint v_c {..} combinedCovepoint: [How]?? endgroupI have 3 coverpoints a,b & c. They all have 1 bin only. Now I...
View ArticleIssues in simulation without -uvmnoautocompile with uvm 1.2
Hi, I am trying to rum my simulation without \-uvmnoautocompile \ and uvm 1.2 Version : irun(64): 15.20-s030: (c) Copyright 1995-2017 Cadence Design Systems, Inc. I am getting the error...
View ArticleSimulation time increase?
I have added some coverpoints to my testbench, which hare getting sampled at every posedge. This has increased my simulation time 10x time. Is this a fairly likely occurrence? Or, there is a way to...
View Articleregression/coverage collection/analyze flow
Hello All,I am a new starter for Cadence flow, now i have a legacy project which using Incisiv.According to handover doc, the flow i am using is:1. Use emanager to run regression using a vsif file, and...
View ArticleDisable VHDL assert using xrun
Hi,I am trying to disable VHDL assert but I was not able to find the option used by xrun to do that.Anyone can help ?Thanks.NabilPS: following an example of the code implemented and its...
View ArticleExport signal loads list
Hi Experts, If there is a feature in SimVision that i can export all the loads of one signal. Thanks
View ArticleIs there any replacement for NCLAUNCH in Xcelium?
Hi,I am using nclaunch in IES sometimes to debug some compile scripts by checking compilation content.Is there any alternative in Xcelium 18.09 for nclaunch? (nclaunch: command not found)BRMichael
View Article