Question regarding reflection API
Hi all,I am trying to to set some vr_ad_reg fields with the set_value() method.This method require that the value will be passed as rf_value_holder struct , however I didn't find any good...
View Articleprobing all top mdules to a particular depth using $shm_probe
Hi, I have a testbench in which each test case adifferent assertion module. The assetion module is a top level in heirarchy(parellel to my test bench top which instantiates DUT). I need to dump the...
View Articlehow to enumerate the fields of all the registers in vr_ad_reg_file
I need to do a full read/write/softreset test on register module and need to enumerate the fields of all the registers, but I checked the help documents and didn't find the solution. Could anyone tell...
View ArticleSystemverilog SVA reporting in IUS: how to suppress failures caused by...
I'm sure this is a frequently asked question (FAQ)When we turn on SVA in our simulations, some of our long-running concurrent assertions are triggering failures at the end of the simulation. It...
View Articleget an error using 3 steps DPI C to simulate systemverilog
Hello all, I want to call a C function within my systemverilog file using DPI C. I have tried the one step (irun -sv hello.c hello.sv )and it worked very well. But the thing I want to do is to create...
View ArticleHow do I instantiate a UVM agent with a monitor, functional coverage and...
Hello all, I have a traditional testbench that instantiates a Stimulus Generator and a DUT. Looks like this//in module tb.vmodule tb; driver i_driver(...);dut i_dut(..); endmoduleThe stimulus...
View ArticleWeird error in ncvhdl
Hi all,I got error since I use env.cmd file and setenv commands. Below, this is what the compiler show me:../RTL/analog_mux2_ent.vhdl: S : in real_vector (2**INPUTS_SEL-1 downto 0);...
View Articlehow can I add a tolerance to a switch(sw_tclose) part
I can use cbreak for example, to add a DEV=5% to its cap value.but how can I add a tolerance to a switch(sw_tclose) part, there is not a tclose part in the breakout library.I want the switch close at...
View Articlestep simulation
Hi all,I'm stuck on a simple issue but can't get out of it: I would like to generate severals waveform signals for my future testbench but the frequency of my signals are not correct.Below is the code...
View ArticleCreating Coverpoint using with clause
Hi, I am unable to to create a coverpoint using with it is giving an error . My code is as cp_in1 : coverpoint in1 { bins b1[ = in1 with ($countones(item) == 3); } Here in1 is a 8-bit...
View ArticleIrun : Load several shared object
hello everyone, I have a question about DPI-C , i know i can load a shared object using irun, even if you need to call it libdpi.so to load it . But I want to load several shared object and not only...
View ArticleIFV counter example question
Hi Guru,Is there a way to use IFV to show another/next counter example besides the one that is shown after using the debug "assertion" command?That would be very helpful.
View Articlencsim/irun/simvision hierarchy dump
Hi,I'd like to be able to dump the hierarchy of a design thats been loaded into simvision into a file, is this possible? Ideally I'd likeInstance_name, Module_Name, Source_file sub_instance_name,...
View ArticleCombine interactive mode and regular mode
Hi There,I want to run interactive mode since my simulation hangs and want to find out the loop. I have a tcl file which containts huge number of force statements and it takes extremely long time to...
View ArticleError on CLSMIP
HiI am new to UVM and I am getting following error. Can anybody please help me out my_sequencer#(my_trans) sequencer ncvlog: *E,CLSMIP (./sv/my_agent.sv,25|34): Too many class instance parameter...
View Articleams simulation failure in icfb5
Hi,I'm running ams simulation for digital (verilog) and analog (schematic) blocks in a single testbench using hierachy config.In topcell.vams, I instantiated i_cellA cellA(), and chose cellA as...
View ArticleBlank screen emanager
I'm getting a blank screen when I start emanager. The console works fine, but the Incisive Enterprise Manager looks like this:http://imgur.com/SR8G7Gg
View ArticleComplex Monte Carlo simulation
Hi everyone,I'm quite new to PSPICE and specific to Monte Carlo(MC) simulation. When follow the MC examples in UG, I can ony use simple output variable such as V or I of a node, but now I want the...
View ArticleStilhaus Kit-chens Review
This Forum is probably the best forum that i have ever used and i would just like to say how proud i am to be a member of this forum..
View Article