Quantcast
Channel: Cadence Functional Verification Forum
Viewing all articles
Browse latest Browse all 1074

Error while trying to explore about get_inst_coverage

$
0
0

 

Hi,
I'm trying to observe the difference between get_coverage & get_inst_coverage with the following example;

class trans;
rand logic wrd;
rand logic [2:0] addr;
rand logic [7:0] din;
rand logic [2:0] no_of_rst;

covergroup cg;
type_option.merge_instances = 1;
option.per_instance = 1;
option.get_inst_coverage = 1;
op_t: coverpoint addr;
op_r: coverpoint din;
endgroup

function new();
cg = new();
endfunction : new
endclass

program main();
trans trans_0 = new();
trans trans_1 = new();
trans trans_2 = new();

initial begin
trans_0.randomize();
trans_1.randomize();
trans_2.randomize();
trans_0.cg.sample();
trans_2.cg.sample();
$display("coverage:%f",trans_0.cg.get_coverage());
$display("coverage1:%f",trans_2.cg.get_inst_coverage());
$display("coverage1:%f",trans_2.cg.get_coverage());
end
endprogram

But the cadence 10.20-s104 tool is showing error at lines type_option.merge_instances and at option.get_inst_coverage.

Can anyone suggest me the how can i get the get_inst_coverage result which is different from get_coverage?

Thanks,

Regards,

Mahee.


Viewing all articles
Browse latest Browse all 1074

Trending Articles



<script src="https://jsc.adskeeper.com/r/s/rssing.com.1596347.js" async> </script>