Script Intent : To perform regression
I've written a script which has a list of all the test names. And each name is passed to makefile which invokes irun.
Problem with script : instead of compiling only once, its compiling every time.
Here is the scirpt i've written. So When I execute the script, two testcases are complied elaborated simulated twice. Now I want to complile only once using NCVLOG. But I'm unable to do so. Help me. and also how to do ncelab, ncsim? I know i should load the snapshot .
software version: INCISIVE15.20.086
---------------------------------------
script.tcsh
set list = (axi4_base_test axi4_base_test_1)
foreach item($list)
make name=$item sim
end
Makefile
test_name=$(name)
include ../makefile
------------------------------
makefile
all :
sim :
@irun -clean -debug -uvm -sv +access+r +UVM_VERBOSITY=UVM_MEDIUM +UVM_TESTNAME=$(test_name) -l $(test_name).log -f /proj/users/chetan/4_axi_virtual/uvc/file.f
wave :
@simvision waves.shm &
log :
@gvim ./$(test_name).log
------------------------------------------------------