Hi,
i have written a simple assertion to check for 2 pulse as
property(p1);
@(posedge clk)
($rose(in1) ##1 $fell(in1)) |-> ##[1:$] ($rose(out1) ##1 $fell(out1));
endproperty
Assuming at out1 is remains as 0(zero) through out the simulation. I should get assertion failure at the end of the simulation, but instead it is not giving messages (like vacuous success). But with the older version i am getting failure message. So help me out here.
Thanks
Srikanth M.