I downloaded an example (uvm_phases.tar ) from this website
http://www.testbench.in/UT_02_UVM_TESTBENCH.html
I try to download the waveform with a tcl file
database -open waves -shm
probe -create -database top -all -depth all
uvm_phase -stop_at -end connect
run
exit
but I get no signal from the waveform
the top module is as follows
`include "uvm_macros.svh"
import uvm_pkg::*;
`include "driver.sv"
`include "monitor.sv"
`include "agent.sv"
`include "env.sv"
`include "test.sv"
module top;
initial
run_test();
endmodule
and my makefile is as follows
ius: ${FILES} ${IFILES}
@if [ ! -e ${UVM_HOME}/src/uvm_pkg.sv ];then \
echo "*******************************************************";\
echo "Environemnt varible UVM_HOME is not set or points to incorrect path"; \
echo "*******************************************************";\
fi
irun ${UVM_FLAGS} +incdir+. ${FILES} +UVM_TESTNAME=test1 -access +rwc -input input.tcl