Hi,
Does anybody know why the function in the code below is behaving differently when the input is a constant or a variable? It works for IUS8/20 but fails for incisive 12 or 13.
Rafael
-------
`timescale 1ns/100ps
module test;
time time_var;
initial begin
$timeformat(-9, 0, " ns", 6);
time_var = 1us;
$display("first with variable");
time_var = time_func(time_var);
$display("then with constant");
time_var = time_func(1us);
$finish;
end
function time time_func (input time in_time);
$display("we are in time_func, in_time = %0t",in_time);
return in_time;
endfunction
endmodule