Quantcast
Channel: Cadence Functional Verification Forum
Viewing all articles
Browse latest Browse all 1069

Slow simulation caused by Assertions

$
0
0

I am using -profile to investigate why my simulation is so slow, and I found the warning:

ncsim: *W,FLSTRT

the explanation of it is: The assertion is spending a significant amount of time starting new
        attempts that immediately terminate.  In most assertions, such activity
        can be minimized by optimizations in ncsim; something about this
        assertion is preventing those optimizations from taking effect. 

I want to know what prevent the optimization, how can I fix it?

 My assertion is fairly simple as below:

 property check_conflict;
      @(posedge clk) ((a && b && !c) ##1 (d && c)) |-> ##[0:2]  S;
   endproperty
   conflict:assert property (disable iff (!rst_an) check_conflict)
         else `uvm_error("ERROR",$psprintf("conflict at %0t \n",$time))

 Could anyone help? Really appreciated.


Viewing all articles
Browse latest Browse all 1069

Trending Articles



<script src="https://jsc.adskeeper.com/r/s/rssing.com.1596347.js" async> </script>