I am a newbee for uvm. Looking for some understaning on using uvm_tes/uvm_env under module.
IUS allows me using uvm_env under module but not uvm_test or uvm-agent , throwing below error -
" class my_test extends uvm_test;
|
ncvlog: *E,FAABP1 (my_tb.sv,1|31): task, function, or assertion instance does not specify all required formal arguments [10.2.2][10.3(IEEE)]."
Follwing is the code -
module top;
class my_env extends uvm_env; //works fine
//class my_test extends uvm_test; // Gives error
// class field,function
endclass
endmodule
What should be the reason?
thanks
joys