Hi,
I've vhdl block containing fsm . IMC not able to auto extract the state machine coded like this:
Snipped of the fsm code:
----------------------------------------------------------------------------------------------------------------------------------------------
type state_type is (ST_IDLE, ST_ADDRESS, ST_ACK_ADDRESS, ST_READ, ST_ACK_READ, ST_WRITE, ST_ACK_WRITE, ST_IDLE_BYTE);
signal state : state_type;
signal state_mux : state_type;
signal next_state : state_type;
process(state_mux, start)
begin
next_state <= state_mux;
next_count <= (others => '0');
case (state_mux) is
when ST_IDLE =>
if(start = '1') then
next_state <= ST_ADDRESS;
end if;
when ST_ADDRESS =>
…………….
when others => null;
end case;
end process;
process(scl_clk_n, active_rstn)
begin
if(active_rstn = '0') then
state <= ST_IDLE after delay_f;
elsif(scl_clk_n'event and scl_clk_n = '1') then
state <= next_state after delay_f;
end if;
end process;
process(state, start)
begin
state_mux <= state;
if(start = '1') then
state_mux <= ST_IDLE;
end if;
end process;
Pls. Help
Thanks
Raghu